D Sequentielle Logik. D Sequentielle Logik. 1 Schaltwerke (2) 1 Schaltwerke. Einordnung in das Schichtenmodell:

Größe: px
Ab Seite anzeigen:

Download "D Sequentielle Logik. D Sequentielle Logik. 1 Schaltwerke (2) 1 Schaltwerke. Einordnung in das Schichtenmodell:"

Transkript

1 inornung in as Schichtenmoell:. Schaltwerke 2. RS Flip-Flop 3. Weitere Flip-Flops 4. Typische Schaltwerke 5. ntwurf eines Schaltwerks Technische Informatik I, SS 23-2 Schaltwerke in er kombinatorischen Logik weren Gatter als verzögerungsfrei angenommen: (Iealisierung, ie oft zu Problemen führt!) sin keine Rückkopplungen gestattet weren Schaltungen als Schaltnetze bezeichnet können Schaltungen als gerichteter azyklischer Graph argestellt weren in er sequentiellen Logik wir as Zeitverhalten urch nnahme einer Gatterlaufzeit t berücksichtigt sin Rückkopplungen gestattet weren Schaltungen weren Schaltwerke bezeichnet können Schaltungen als gerichteter zyklischer Graph argestellt weren Schaltwerke (2) asynchrone Schaltwerke gesteuert urch Veränerung er ingangssignale Zeitpunkt, an em wieer stabile usgangssignale vorliegen, ist nur urch Gatterlaufzeit festgelegt aufweniger ntwurf sehr schnelle Schaltwerke möglich synchrone Schaltwerke gesteuert urch zentralen Takt Übernahme er Änerung eines ingangssignals erfolgt nur zu festen Zeitpunkten einfacher, systematischer ntwurf langsamste Komponente bestimmt maximale Taktfrequenz Technische Informatik I, SS 23-3 Technische Informatik I, SS 23-4

2 Schaltwerke (3) Was geschieht in einer igitalen Schaltung bei er Rückkopplung eines Gatterausganges? eispiel : rückgekoppeltes NOR-Gatter Schaltwerke (4) eispiel 2: zwei rückgekoppelte NOR-Gatter (bistabile Kippstufe) unerwünschte Schwingungen sin möglich! bei = oer = ergibt sich nach 2 t ein stabiler Zustan; bei = un = ergibt sich für X = ein instabiles Verhalten Technische Informatik I, SS 23-5 Technische Informatik I, SS RS Flip-Flop 2 RS Flip-Flop (2) bei Vermeiung von (t) = (t) = liegen stabile Zustäne mit = X vor; bistabile Kippstufe kann einen binären Wert speichern! Setzt man R = ( Reset, Löschen) un S = ( Set, Setzen) Q = X un Q = so ergibt sich ein RS Flip-Flop: insatz eines RS-Flipflops: Speichern eines kurzzeitigen Wertes eispiel: Setzen einer Warnlampe bei kurzzeitiger Temperaturüberschreitung, manuelles Rücksetzen Zeitverhalten eines RS Flip-Flops: Technische Informatik I, SS 23-7 Technische Informatik I, SS 23-8

3 2 RS Flip-Flop (3) getaktetes RS Flip-Flop: Synchrone Schaltung: Signale an R un S weren nur übernommen, wenn Taktsignal lk aktiv ist bei lk = sin R un S irrelevant ( = on t care ) bei lk = stellt sich er neue Folgezustan Q ein 2 RS Flip-Flop (4) Zeitverhalten eines getakteten RS Flip-Flops: Impulse auf en ingangsleitungen R un S währen er nichtaktiven Phase (lk = ) bleiben unberücksichtigt währen aktiver Taktphase (lk = ) sin mehrere Zustansänerungen möglich! Technische Informatik I, SS 23-9 Technische Informatik I, SS 23-2 RS Flip-Flop (5) 2 RS Flip-Flop (6) ein getaktetes RS Flip-Flop läßt sich auch ausschließlich mit NN-Gattern realisieren: ie usgänge Q un Q sin hierbei jeoch vertauscht! (vgl. e Morgansches Gesetz) urch ie Möglichkeit mehrerer Zustansänerungen in einer Taktphase ist as getaktete RS Flip-Flop für viele nwenungen ungeeignet eispiel: Rückkopplung vom usgang es Flip-Flops zu en ingängen über ein Schaltnetz selbst bei kurzen Taktphasen sin mehrere ungewollte Rückkopplungen je Takt möglich sinnvoller wäre eine Variante es RS Flip-Flops, ie nur zu einem ausgezeichneten Zeitpunkt eines Taktzyklus ie Werte an en beien ingangsleitungen R un S übernimmt! Technische Informatik I, SS 23 - Technische Informatik I, SS 23-2

4 2 RS Flip-Flop (7) einmaster-slave RS Flip-Flop besteht aus 2 hintereinanergeschalteten getakteten RS Flip-Flops (als Master un als Slave bezeichnet) zusätzlicher Inverter negiert Taktsignal für Slave 2 RS Flip-Flop (8) Zeitverhalten es Master-Slave RS Flip-Flops: Master übernimmt ingangswerte bei lk = ( Slave änert sich nicht) Slave übernimmt Werte vom Master bei lk = ( Master änert sich nicht) Master akzeptiert Änerungen an R un S währen lk =, Slave übernimmt Q Master bei folgener fallener Taktflanke! Technische Informatik I, SS 23-3 Technische Informatik I, SS RS Flip-Flop (9) 2 RS Flip-Flop () urch spezielle Schaltungstechnik kann erreicht weren, aß auch ie ingangsleitungen nur beisteigener Flanke oer bei fallener Flanke berücksichtigt weren! sowohl as Master-Slave RS Flip-Flop als auch as flankengetriggerte RS Flip-Flop gestatten eine sichere Rückkopplung über ein Schaltnetz ebenso ist hiermit ein Schaltwerk mit Pipelining realisierbar: positiv oer negativ flankengetriggertes RS Flip-Flop (positive Flanke = steigene Flanke, negative Flanke = fallene Flanke) mit jeer steigener Flanke wir as kombinatorische rgebnis aus jeem Schaltnetz in as nachgeschaltete Flip-Flop übernommen Schaltnetz un 2 arbeiten gleichzeitig auf verschieenen aten; nach 3 Takten liegt as rgebnis am usgang vor Schaltwerk arbeitet korrekt, wenn Taktzykluszeit größer ist als ie maximale Verzögerung in beien Schaltnetzen Technische Informatik I, SS 23-5 Technische Informatik I, SS 23-6

5 3 Weitere Flip-Flops Flip-Flop: bei lk = wir intern S = un R = gesetzt hierurch wir unerlaubter Zustan R = S = stets vermieen! bei lk = bleibt Zustan unveränert bei lk = ergibt sich er neue Folgezustan Q = 3 Weitere Flip-Flops (2) Flankengetriggertes Flip-Flop: Flip-Flops weren meistens nur in er flankengetriggerten Version benutzt,.h. leiglich bei uftreten er entsprechenen Taktflanke wir as Signal vom ingang übernommen Flip-Flop mit positiver Flankentriggerung: Flip-Flop mit negativer Flankentriggerung: Technische Informatik I, SS 23-7 Technische Informatik I, SS Weitere Flip-Flops (3) 3 Weitere Flip-Flops (4) flankengetriggerte Flip-Flops ienen als Grunbaustein für ein n-it Register: JK Flip-Flop: basieren auf flankengetriggertem RS Flip-Flop jeoch Nutzung er nicht benötigten ingangskombination, für eine Invertierung von Q ( Toggle ) aten vom atenbus weren bei steigener Flanke es LO- Signals in as Register gelaen Technische Informatik I, SS 23-9 Technische Informatik I, SS 23-2

6 4 Typische Schaltwerke n-it Schieberegister: 4 Typische Schaltwerke (2) n-it Links/Rechts-Schieberegister: in jeem Takt weren inärwerte um eine Position nach rechts geschoben nwenungen: Seriell-/Parallelwanlung, Teil arithmetischer Operationen Realisierung es -aus-2 Multiplexers: Technische Informatik I, SS 23-2 Technische Informatik I, SS Typische Schaltwerke (3) 4 Typische Schaltwerke (4) synchroner 3-it inärzähler: Zeitverhalten: = Verzögerung eines flankengetriggerten JK-Flipflops legt maximale Taktfrequenz fest zählt fallene Taktflanken! Synchroner 3-it inärzähler Zustanswechsel in allen Flipflops soll gleichzeitig (z.. bei einer fallenen Taktflanke) erfolgen zunächst rstellen eines Zustansiagramms mit: ) allen möglichen Zustänen es 3-it inärzählers 2) allen möglichen Zustansübergängen Technische Informatik I, SS Technische Informatik I, SS 23-24

7 4 Typische Schaltwerke (5) Synchroner 3-it inärzähler (Forts.) s sollen (flankengetriggerte) JK Flip-flops eingesetzt weren rstellen einer Zustansübergangstabelle für ein JK Flip-Flop: Übergang Q Q JK Flip-Flop ingänge bei Q = ist ingang K Q Q J K irrelevant! bei Q = ist ingang J irrelevant! für 3-it inärzähler weren rei JK Flip-Flops mit zentralem Takt benötigt 4 Typische Schaltwerke (6) Synchroner 3-it inärzähler (Forts.) Zustansübergangstabelle für 3-it inärzähler: Q 2 aktueller Zustan Q Q Folgezustan ingänge er JK Flip-Flops Q 2 Q Q aktueller Zustan stellt gleichzeitig ie usgabe es Zählers ar! J 2 K 2 J K J K Technische Informatik I, SS Technische Informatik I, SS Typische Schaltwerke (7) 4 Typische Schaltwerke (8) Synchroner 3-it inärzähler (Forts.) s muß nun ein Schaltnetz entwickelt weren, as ie nsteuersignale er JK Flip-Flops aus em aktuellen Zustan generiert: ingangssignale: Q 2, Q, Q usgangssignale: J 2, K 2, J, K, J, K Minimierung er nsteuergleichungen für ie JK Flip-Flops mit Karnaugh-Veitch-iagrammen Synchroner 3-it inärzähler (Forts.) für J, K, J un K können ie nsteuergleichungen auch irekt er Zustansübergangstabelle es Zählers entnommen weren insgesamt ergibt sich folgene Schaltfunktion: J 2 = Q Q K 2 = Q Q J = Q K = Q J =, K = Realisierung es synchronen 3-it inärzählers: Resultat: J 2 = Q Q, K 2 = Q Q Technische Informatik I, SS Technische Informatik I, SS 23-28

8 5 ntwurf eines Schaltwerks Wie kann man systematisch ein synchrones Schaltwerk ausgehen von er Problembeschreibung entwerfen? Iee: Vorgehensweise wie beim ntwurf es synchronen 3-it inärzählers, jeoch beliebige (jeoch enliche) Menge von Zustänen Zustansübergänge in jeem Takt erfolgen nicht mehr unbeingt, sonern sin abhängig von ingangssignalen usgangssignale es Schaltwerks entsprechen nicht mehr irekt en usgängen er Flip-Flops, sonern weren urch ein Schaltnetz generiert Verwenung eines enlichen Zustansautomaten als zugrune liegenes Moell 5 ntwurf eines Schaltwerks (2) Moore-utomat: nach. Moore (ell Labs) allgemeiner ufbau: ingabelogik bestimmt Zustansübergänge, ie von en ingabesignalen un vom aktuellen Zustan abhängen usgabelogik bestimmt usgabe, ie nur vom aktuellen Zustan abhängt Technische Informatik I, SS Technische Informatik I, SS ntwurf eines Schaltwerks (3) 5 ntwurf eines Schaltwerks (4) Mealy-utomat: nach G. Mealy (IM) allgemeiner ufbau: ingabelogik wie beim Moore-utomaten usgabe hängt jeoch urch ie usgabelogik vom aktuellen Zustan un vom ingabesignal ab Vorgehensweise:. rstellen eines Zustansiagramms 2. rstellen einer Zustanstabelle 3. uswahl einer binären Zustanskoierung un Generierung einer binären Zustanstabelle 4. uswahl eines Flip-Flop Typs un rmittlung er für jeen Zustansübergang benötigten Flip-Flop nsteuerungen 5. rmittlung er usgabegleichungen 6. Minimierung er nsteuer- un usgabegleichungen 7. Realisierung es Schaltwerks Technische Informatik I, SS 23-3 Technische Informatik I, SS 23-32

9 5 ntwurf eines Schaltwerks (5) in Zustansiagramm ist arstellung einer ufgabenstellung als gerichteter, zyklischer Graph, wobei ie Knoten en Zustänen un ie Kanten en Zustanübergängen entsprechen Zustansiagramm für einen Moore-utomaten Knoten: Markierung S/ gibt ezeichnung es Zustans S un ie zugehörige usgabe = 2... n an Kanten: Markierung mit er für en jeweiligen Zustansübergang erforerlichen ingabe = 2... m Zustansiagramm für einen Mealy-utomaten Knoten: Markierung S gibt nur ie ezeichnung es Zustans an Kanten: Markierung / mit er für en jeweiligen Zustansübergang erforerlichen ingabe = 2... m un er resultierenen usgabe = 2... n 5. eispiel Moore-utomat ufgabenstellung: s soll ein synchrones Schaltwerk entworfen weren, as in einem binären ingabestrom (t) ie Sequenz erkennt usgabe von =, sobal im ingabestrom ie Sequenz erkannt wure, ansonsten soll = sein Schritt : Zustansiagramm für Moore-utomat Technische Informatik I, SS Technische Informatik I, SS eispiel Moore-utomat (2) 5. eispiel Moore-utomat (3) Schritt 2: Zustanstabelle Zustanstabelle enthält für jeen (i.a. symbolisch bezeichneten) Zustan S ) en Folgezustan S in bhängigkeit von er ingabe 2) ie zugehörige usgabe Zustanstabelle für -Sequenzetektor: Technische Informatik I, SS 23 S S -35 Schritt 3: uswahl einer binären Zustanskoierung un Generierung einer binären Zustanstabelle Zustäne S weren in binäre Zustäne Q = Q k...q Q mit k = log 2 S umkoiert binäre Zustanstabelle für -Sequenzetektor ( S = 4 k = 2): S Technische Informatik I, SS 23 S Q Q Q Q -36

10 5. eispiel Moore-utomat (4) Schritt 4: uswahl eines Flip-Flop Typs un rmittlung er für jeen Zustansübergang nötigen Flip-Flop nsteuerungen für -Sequenzetektor sollen JK Flip-Flops eingesetzt weren nsteuerungstabelle bei Verwenung von JK Flip-Flops: Q Q Q Q J K J K 5. eispiel Moore-utomat (5) Schritt 5: estimmung er usgabegleichungen aus binärer Zustanstabelle kann zunächst eine reuzierte Tabelle erstellt weren, ie nur ie Spalten Q k...q Q un enthält ( hängt beim Moore-utomat nur von Q, aber nicht von ab!) reuzierte Tabelle für -Sequenzetektor: Q Q usgabegleichung: = Q Q Technische Informatik I, SS Technische Informatik I, SS eispiel Moore-utomat (6) 5. eispiel Moore-utomat (7) Schritt 6: Minimierung er nsteuer- un usgabegleichungen usgabegleichung = Q Q ist bereits minimal für nsteuergleichungen J un K kann man irekt aus er nsteuertabelle minimale Gleichungen ablesen: J =, K = Minimierung von nsteuergleichungen J un K : Schritt 7: Realisierung es Schaltwerks Realisierung er Schaltfunktionen aus ingabe- un usgabelogik Realisierung es -Sequenzetektors, hier mit UN-, OR- Gattern, Invertern un positiv flankengetriggerten JK Flip-Flops: Resultate: J = Q K = Q + Q Technische Informatik I, SS Technische Informatik I, SS 23-4

11 5.2 eispiel Mealy-utomat ufgabenstellung wie beim eispiel zum Moore-utomat: rkennung er Sequenz in einem inärstrom (t) Schritt : Zustansiagramm für Mealy-utomat zusätzliche Markierung er Kanten (anstatt er Knoten) mit usgabe Zustansiagramm für -Sequenzetektor: 5.2 eispiel Mealy-utomat (2) Schritte 2+3: rstellen einer Zustanstabelle, uswahl einer binären Zustanskoierung un Generierung einer binären Zustanstabelle wie bei Moore-utomat, jeoch sofortige Veränerung von (im gleichen Takt), wenn ingabe sich entsprechen änert für -Sequenzetektor ergibt sich: S S Q Q Q Q Technische Informatik I, SS 23-4 Technische Informatik I, SS eispiel Mealy-utomat (3) 5.2 eispiel Mealy-utomat (4) Schritt 4: estimmen er Flip-Flop nsteuergleichungen: wie bei Moore-utomat! Schritt 5: estimmung er usgabegleichungen hängt beim Mealy-utomat von Q un von ingabe ab! reuzierte Tabelle für -Sequenzetektor: resultierene usgabegleichung: = Q Q Q Schritt 6: Minimierung für nsteuergleichungen wie bei Moore-utomat usgabegleichung ist bereits minimal Q Schritt 7: Realisierung es Schaltwerks im Vergleich zum entsprechenen Moore-utomat änert sich nur ie usgabelogik: Technische Informatik I, SS Technische Informatik I, SS 23-44

12 5.3 Vergleich Moore- un Mealy-utomat sowohl Moore-utomat als auch Mealy-utomat zum ntwurf beliebiger Schaltwerke geeignet Vorteile Moore-utomat: taktsynchrone usgabe, asynchron auftretene Störungen er ingabesignale wirken sich nicht auf aus geringerer Schaltungsaufwan für usgabelogik, wenn usgabe eigentlich nur vom Zustan abhängt Vorteile Mealy-utomat: schnellere Reaktion auf Veränerung er ingabesignale Realisierung ist mit einer kleineren nzahl an Zustänen möglich, wenn mehrere Zustansübergänge zu einem Zustan verschieene usgaben erforern 5.4 nmerkungen zum Moore-/Mealy-utomat jeer beliebige getaktete Flip-Flop Typ arf verwenet weren zunächst ist Flip-Flop Zustans-Übergangstabelle aufzustellen in nsteuertabelle müssen ie entsprechenen nsteuersignale für ie benötigten Zustans-Übergänge eingetragen weren Schaltungsaufwan für ingabelogik abhängig vom Flip-Flop Typ zur Vereinfachung es Zustansiagramms ürfen Zustansübergänge, ie von einer ingangsvariablen unabhängig sin, auch mit ( on t care ) beschriftet weren: eispiel: Technische Informatik I, SS Technische Informatik I, SS Reuktion von Zustänen 5.6 Realisierung von Schaltwerken im Moore-utomat können Zustäne mit gleichen usgaben un gleichen Folgezustänen zusammengefasst weren eispiel: utomat zur rkennung von oer insparung eines Flip-Flops! im Mealy-utomat sin Zustäne mit gleichen Folgezustänen un gleichen usgaben bei en Übergängen zusammenfassbar zur Realisierung eines Schaltwerks in SSI-Technologie stehen Is mit mehreren ientischen Flip-Flops zur Verfügung: eispiel: 74LS74 zwei positiv flankengetriggerte Flip-Flops, enthält zusätzliche asynchrone PR- ( Preset ) un LR-ingänge ( lear, Reset) ( TI-Praktikum Versuch 2) zur Realisierung eines größeren Schaltwerks in programmierbarer Logik gibt es GL-austeine, bei enen ie usgänge er UN-/OR-Matrix mit Flip-Flops versehen sin eispiel: GL 22V ( TI-Praktikum Versuch 3) Technische Informatik I, SS Technische Informatik I, SS 23-48

13 5.7 synchrone Schaltwerke im Gegensatz zum ntwurf synchroner Schaltwerke gibt es für asynchrone Schaltwerke keinen systematischen nsatz oft weren urch Intuition trickreiche Schaltungen entwickelt, wobei z.. ie asynchronen LR-ingänge benutzt weren eispiel: asynchroner Moulo-6 Zähler 5.7 synchrone Schaltwerke (2) Zeitverhalten es asynchronen Moulo-6 Zählers: asynchroner Reset bei Q = un Q 2 = kurzzeitig erscheint an en usgängen er unerlaubte Wert entsprechenes synchrones Schaltwerk ist hier vorzuziehen! Technische Informatik I, SS Technische Informatik I, SS Lernziele egriffe: asynchrones/synchrones Schaltwerk, sequentielle Logik, Zustansiagramm, Mealy/Moore-utomat,... RS Flip-Flop, Master/Slave RS Flip-Flop, JK Flip-Flop, Flip-Flop: Wahrheitstabellen, Funktionsweise, Zeitverhalten ungetaktete, getaktete un flankengetriggerte Flip-Flops einfache Schaltwerke n-it Register, n-it Schieberegister asynchrone/synchrone n-it Zähler systematischer ntwurf eines synchronen Schaltwerks aus einer Problembeschreibung als Moore-utomat als Mealy-utomat Technische Informatik I, SS 23-5

Sequentielle Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck

Sequentielle Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Sequentielle Logik Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Übersicht Schaltwerke Flip-Flops Entwurf eines Schaltwerks Zähler Realisierung Sequentielle

Mehr

Logik mit Gedächtnis : Sequentielle Logik

Logik mit Gedächtnis : Sequentielle Logik Logik mit Gedächtnis : Sequentielle Logik Schaltwerke Grundkomponenten zur Informationspeicherung: Flip-Flops Typische Schaltwerke Entwurf eines Schaltwerks Wintersemester 12/13 1 asynchrone und synchrone

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil : igitale Logik Inhalt: oolesche lgebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grunlagen programmierbare logische austeine Technische Informatik I, SS 2 Sequentielle

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil : Digitale Logik Inhalt: oolesche lgebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grunlagen programmierbare logische austeine Technische Informatik I, SS 2 Sequentielle

Mehr

III. Asynchrone und synchrone Schaltwerke

III. Asynchrone und synchrone Schaltwerke Ein asynchrones Schaltwerk entsteht dadurch, daß an bei eine Schaltnetz SN1 indestens eine Ausgang auf die Eingänge rückkoppelt. Das Verhalten des Schaltwerks ist dait nicht nur von den Eingangsgrößen

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 2. Vorlesung Klaus Kasper Inhalt Schaltnetz vs. Schaltwerk NAND SR-Flip-Flop NOR SR-Flip-Flop Master-Slave Flip-Flop Zustandsdiagramm Flip-Flop Zoo Schaltnetze vs. Schaltwerke Schaltnetz:

Mehr

Übung 1 RS-FFs mit NOR- oder NAND-Gattern

Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übungsziel: Aufbau eines RS-Flipflops mit NOR- oder NAND-Gattern Wahrheitstabelle: S (Setzen) R (Rücksetzen) Q m (Aktueller Zustand) Q m+1 (Nächster Zustand) 0

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Einteilung der Kippschaltungen (Schaltwerke) (=Flipflops)

Einteilung der Kippschaltungen (Schaltwerke) (=Flipflops) 6. Sequentielle Schaltungen: 6.1. Grundsätzliche Aussage zu Flipflop Unterschiede zwischen kombinatorischen und sequentiellen Schaltungen: Kombinatorische Schaltungen: - Ausgänge sind nur vom Zustand der

Mehr

Elektrische Logigsystem mit Rückführung

Elektrische Logigsystem mit Rückführung Mathias Arbeiter 23. Juni 2006 Betreuer: Herr Bojarski Elektrische Logigsystem mit Rückführung Von Triggern, Registern und Zählern Inhaltsverzeichnis 1 Trigger 3 1.1 RS-Trigger ohne Takt......................................

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4. Kapitel 4: Schaltungen mit Delays Seite 1

Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4. Kapitel 4: Schaltungen mit Delays Seite 1 Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4 Kapitel 4: Schaltungen mit Delays Seite 1 Schaltungen mit Delays Inhaltsverzeichnis 4.1 Einführung 4.2 Addierwerke

Mehr

Logik mit Gedächtnis : Sequentielle Logik

Logik mit Gedächtnis : Sequentielle Logik Logik mit Gedächtnis : Sequentielle Logik Schaltwerke Grundkomponenten zur Informationspeicherung: Flip-Flops Typische Schaltwerke Entwurf eines Schaltwerks Wintersemester 14/15 1 Kombinatorische Logik

Mehr

10. Elektrische Logiksysteme mit

10. Elektrische Logiksysteme mit Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 10. Elektrische Logiksysteme mit Rückführung Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski Versuch ausgeführt: 22. Juni

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Schaltwerke Schaltwerk

Schaltwerke Schaltwerk Schaltwerke Bisher habe wir uns nur mit Schaltnetzen befasst, also Schaltungen aus Gattern, die die Ausgaben als eine Funktion der Eingaben unmittelbar (durch Schaltvorgänge) berechnen. Diese Schaltnetze

Mehr

Flip Flops allgemein - Digitale Signalspeicher

Flip Flops allgemein - Digitale Signalspeicher INFORMATION: Flip Flops allgemein - Digitale Signalspeicher Jede elektronische Schaltung, die zwei stabile elektrische Zustände hat und durch entsprechende Eingangssignale von einem Zustand in einen anderen

Mehr

Beispiel für die Berechnung des Wärmedurchgangskoeffizienten eines zusammengesetzten Bauteiles nach DIN EN ISO 6946

Beispiel für die Berechnung des Wärmedurchgangskoeffizienten eines zusammengesetzten Bauteiles nach DIN EN ISO 6946 Pro Dr-Ing hena Krawietz Beispiel ür ie Berechnung es Wärmeurchgangskoeizienten eines zusammengetzten Bauteiles nach DIN EN ISO 6946 DIN EN ISO 6946: Bauteile - Wärmeurchlasswierstan un Wärmeurchgangskoeizient

Mehr

Technische Informatik Basispraktikum Sommersemester 2001

Technische Informatik Basispraktikum Sommersemester 2001 Technische Informatik Basispraktikum Sommersemester 2001 Protokoll zum Versuchstag 4 Datum: 21.6.2001 Gruppe: David Eißler/ Autor: Verwendete Messgeräte: - digitales Experimentierboard (EB6) - Netzgerät

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

Speicherung von Signalen - Flipflops, Zähler, Schieberegister

Speicherung von Signalen - Flipflops, Zähler, Schieberegister Lehrbehelf für Prozessregelung und echnerverbund, 3. Klasse HTL Speicherung von Signalen - Flipflops, Zähler, Schieberegister S - Flipflop Sequentielle Schaltungen unterscheiden sich gegenüber den kombinatorischen

Mehr

Hardwarearchitekturen und Rechensysteme

Hardwarearchitekturen und Rechensysteme Lehrstuhl für Eingebettete Systeme Hardwarearchitekturen und Rechensysteme Asynchrone sequenzielle Schaltungen (asynchrone Schaltwerke) Folien zur Vorlesung Hardwarearchitekturen und Rechensysteme von

Mehr

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen Elektronik Praktikum / Digitaler Teil Name: Jens Wiechula, Philipp Fischer Leitung: Prof. Dr. U. Lynen Protokoll: Philipp Fischer Versuch: 3 Datum: 24.06.01 RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

Mehr

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:...

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:... Universität Hamburg, Fachbereich Informatik Arbeitsbereich Technische Aspekte Multimodaler Systeme Praktikum der Technischen Informatik T1 2 Flipflops Name:... Bogen erfolgreich bearbeitet:... Versuch

Mehr

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler 3.Übung: Inhalte: - binäre Logik, boolsche Gleichungen - logische Grundschaltungen - trukturentwurf elementarer echenwerke - Grund-Flipflop (-Flipflop) - egister, chieberegister, Zähler Übung Informatik

Mehr

Physik für Bauingenieure

Physik für Bauingenieure Fachbereich Physik Prof. Dr. Ruolf Feile Dipl. Phys. Markus Domschke Sommersemester 00 4. 8. Juni 00 Physik für Bauingenieure Übungsblatt 9 Gruppenübungen. Konensator Zwei quaratische Metallplatten mit

Mehr

Zu DT Übung 11.1 FF oben links. (Lösungsvorschlag)

Zu DT Übung 11.1 FF oben links. (Lösungsvorschlag) Zu DT Übung 11.1 FF oben links RS-FF ungetaktet, dominierender Setzeingang A Kein Takteingang und keine direkt wirkenden Setz- und Rücksetzeingänge. Die Signale T und C haben deshalb hier keine Wirkung.

Mehr

Protokoll zu Grundelemente der Digitaltechnik

Protokoll zu Grundelemente der Digitaltechnik Protokoll zu Grundelemente der Digitaltechnik Ronn Harbich 22. uli 2005 Ronn Harbich Protokoll zu Grundelemente der Digitaltechnik 2 Vorwort Das hier vorliegende Protokoll wurde natürlich mit größter Sorgfalt

Mehr

Synchronisierung. Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73

Synchronisierung. Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73 Synchronisierung Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73 Übertragungsprozeduren Die Übertragung einer Nachricht zwischen Sender und Empfänger erfordert die Übertragung des Nutzsignals

Mehr

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1 9 Flipflops (FF) Digitale chaltungen Unterteilung der Flipflops: Es gibt bistabile, monostabile und astabile Kippstufen. Bistabile FF s werden als Flipflops bezeichnet. FF s weisen zwei stabile Zustände

Mehr

Schaltungen Jörg Roth 197

Schaltungen Jörg Roth 197 Schaltungen Jörg Roth 197 2.2.2 Flipflops Flipsflops sind einfache rückgekoppelte Schaltungen, die jeweils ein einzelnes Bit speichern können. Es gibt verschiedene Typen, die sich im "Komfort" der Ansteuerung

Mehr

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 11 AM 27.01.2012 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

MS Michelson-Interferometer

MS Michelson-Interferometer MS Michelson-Interferometer Blockpraktikum Herbst 2007 (Gruppe 2b) 24. Oktober 2007 Inhaltsverzeichnis 1 Grunlagen 2 1.1 Aufbau.................................... 2 1.2 Interferenzmuster...............................

Mehr

Aufbau eines Digitalzählers

Aufbau eines Digitalzählers INTITUT FÜ NGWNDT PHYIK Physikalisches Praktikum für tudierende der Ingenieurswissenschaften Universität Hamburg, Jungiusstraße ufbau eines Digitalzählers inleitung Jede beliebige Information kann zerlegt

Mehr

Angewandte Physik II: Elektronik

Angewandte Physik II: Elektronik Elektronik für Physiker Prof. Brunner SS 26 Angewandte Physik II: Elektronik 9. Schaltwerke. Monostabile Kippschaltung: Univibrator 2. Astabile Kippschaltung: Multivibrator 3. Bistabile Kippschaltung:

Mehr

Beispiele sequentieller Schaltungen

Beispiele sequentieller Schaltungen eispiele sequentieller Schaltungen Synchroner 3-stelliger inärzähler: Schieberegister mit serieller un paralleler Ein-/usgabe: Technische Informatik I, SS 2. Strey, Universität Ulm Kapitel : Sequentielle

Mehr

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop 1. Speicherbausteine 1.1. JK-RS-Master-Slave-Flip-Flop Dieser Speicherbaustein (Kurz JK-RS) hat 5 Eingänge (J,K,R,S und Clk) und zwei Ausgänge ( und ). Funktion Werden die Eingänge J,K und Clock auf 0

Mehr

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren? Aufgabensammlung Digitale Grundschaltungen 1. Aufgabe DG Gegeben sei folgende Schaltung. Am Eingang sei eine Spannung von 1,5V als High Pegel und eine Spannung von 2V als Low Pegel definiert. R C = 300Ω;

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

Versuch P1-63 Schaltlogik Vorbereitung

Versuch P1-63 Schaltlogik Vorbereitung Versuch P1-63 Schaltlogik Vorbereitung Gruppe Mo-19 Yannick Augenstein Versuchsdurchführung: 16. Januar 2012 1 Inhaltsverzeichnis Einführung 3 1 Grundschaltungen 3 1.1 AND.......................................

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

Flipflops. asynchron: Q t Q t+t

Flipflops. asynchron: Q t Q t+t Flipflops Ein Flipflop ist ein elementares Schaltwerk, das jeweils einen von zwei Zuständen ( 0 und 1 ) annimmt. Es hat zwei komplementäre Ausgänge ( Q und Q ), die den internen Zustand anzeigen. (Falls

Mehr

Computertechnik 1. 4.3 Schaltwerke, Sequentielle Schaltungen. 4.3.2 Register. Register. Dr. Wolfgang Koch

Computertechnik 1. 4.3 Schaltwerke, Sequentielle Schaltungen. 4.3.2 Register. Register. Dr. Wolfgang Koch omutertechnik r. Wolfgang Koch 4.3 Schaltwerke, Sequentielle Schaltungen Seicher, Register... : Frühere Eingaben (innere Zustände) sielen eine Rolle (werden geseichert) Friedrich Schiller University Jena

Mehr

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Vorwärts Vorwärtszählen entspricht einer fortlaufenden 1-Addition Rückwärts Rückwärtszählen entspricht einer fortlaufenden 1-Subtraktion 2. Verwendeter

Mehr

Protokoll Flip-Flops. Protokollanten: Torsten Görig und Michael Horstmann

Protokoll Flip-Flops. Protokollanten: Torsten Görig und Michael Horstmann Protokoll Flip-Flops Protokollanten: Torsten Görig und Michael Horstmann Versuchsdatum: 15.06.2001 1 Protokollvorbereitung 1.1 Flipflop 1.1.1 Schaltung des SR-Flipflops mit zwei NOR-Gattern 1.1.2 Schaltung

Mehr

Digital-Technik. Grundlagen und Anwendungen. Teil IV

Digital-Technik. Grundlagen und Anwendungen. Teil IV Digital-Technik Grundlagen und Anwendungen Teil IV 1 Übersicht 10-11 10 Zeitabhängige binäre Schaltungen 10.1 Bistabile Kippstufen (Flipflops) 10.2 Zeitablaufdiagramme 10.3 Monostabile Kippstufen 10.4

Mehr

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1 Spezielle Schaltnetze Spezielle Schaltnetze 22 Prof. Dr. Rainer Manthey Informatik II Übersicht in diesem Abschnitt: : Vorstellung einiger wichtiger Bausteine vieler elektronischer Schaltungen, die sich

Mehr

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap.

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap. 6 Versuch Nr. 5 6.1 Anmerkungen zum Versuch Nr. 5 In den bisherigen Versuchen haben Sie sich mit kombinatorischen Schaltkreisen beschäftigt, in denen die Ausgänge bisher nicht auf die Eingänge zurückgeführt

Mehr

Schaltbild E Tec Module Schaltbeispiel (Prüfschaltung)

Schaltbild E Tec Module Schaltbeispiel (Prüfschaltung) E Tec Module rt.nr.08227. Spezialprogramme für Digitaltechnik Für Freunde der Digitaltechnik sind im "E Tec Module" noch weitere vier Programme enthalten, die über die Dipschalter eingestellt werden. Diese

Mehr

Grundlagen der Rechnerarchitektur

Grundlagen der Rechnerarchitektur Grundlagen der Rechnerarchitektur [CS3100.010] Wintersemester 2014/15 Heiko Falk Institut für Eingebettete Systeme/Echtzeitsysteme Ingenieurwissenschaften und Informatik Universität Ulm Kapitel 3 Sequentielle

Mehr

Füllstandsregelung. Technische Informatik - Digitaltechnik II

Füllstandsregelung. Technische Informatik - Digitaltechnik II Füllstandsregelung Kursleiter : W. Zimmer 1/18 Zwei Feuchtigkeitsfühler (trocken F=0; feucht F=1) sollen zusammen mit einer geeigneten Elektronik dafür sorgen, dass das Wasser im Vorratsbehälter niemals

Mehr

Speicherung digitaler Signale

Speicherung digitaler Signale Speicherung digitaler Signale von Fabian K. Grundlagen Flipflops Bisher: Schaltungen ohne Speichermöglichkeit Jetzt: Speichermöglichkeit durch Flipflops Flipflops Grundlagen Flipflops Was sind Flipflops?

Mehr

Aus Knoten und Kanten, die Bezeichnungen haben können. Ein Graph, bei dem die Kanten Richtungen haben.

Aus Knoten und Kanten, die Bezeichnungen haben können. Ein Graph, bei dem die Kanten Richtungen haben. ormale Methoden der Informatik WS 2/2 Lehrstuhl für atenbanken und Künstliche Intelligenz ProfrrJRadermacher H Ünver T Rehfeld J ollinger 3 ufgabenblatt esprechung in den Tutorien vom 72 (ab Übungstermin)

Mehr

C. Sequentielle Logik

C. Sequentielle Logik C. Sequentielle Logik C.1. Einordnung Schaltungen mit innerem Zustand. Nächster Zustand nach jeweils t. Im Prinzip getaktete Schaltungen. Speichernde Schaltungen. Grosser Zustandsraum. Höhere Informatik

Mehr

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer Institut für Kommunikationsnetze und Rechnersysteme Grundlagen der Technischen Informatik Paul J. Kühn, Matthias Meyer Übung 2 Sequenzielle Netzwerke Inhaltsübersicht Aufgabe 2.1 Aufgabe 2.2 Prioritäts-Multiplexer

Mehr

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden?

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden? VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 83.579, 24W Übungsgruppen: Mo., 24.. Mi., 26..24 Aufgabe : ROM-Erweiterung Ein 64x6 ROM soll aus mehreren 32x4 ROMs (vgl. Abbildung rechts:

Mehr

Optische Abbildung mit Einzel- und Tandemobjektiven

Optische Abbildung mit Einzel- und Tandemobjektiven Optische Abbilung mit Einzel- un Tanemobjektiven. Wirkungsgra einer Abbilung mit einem Einzelobjektiv Mit einem Einzelobjektiv wir ein strahlener egenstan er Fläche A [m ] un er Ausstrahlung M W m au ein

Mehr

Lehrbrief 1 Technik Seite 1 von 7

Lehrbrief 1 Technik Seite 1 von 7 Lehrbrief 1 Technik Seite 1 von 7 Mathematische Kenntnisse Mathematik? Eigentlich sollte es och um Amateurfunk gehen. Es ist nunmal ein technisches Hobby, einige grunlegene mathematische Kenntnisse sin

Mehr

8. Uninformierte Suche

8. Uninformierte Suche 8. Uninformierte Suche Prof. Dr. Ruolf Kruse University of Mageurg Faculty of Computer Science Mageurg, Germany ruolf.kruse@cs.uni-mageurg.e S otationen () otationen: Graph Vorgänger (ancestor) von Knoten

Mehr

Dennis S. Weiß & Christian Niederhöfer. Versuchsprotokoll. (Fortgeschrittenen-Praktikum) zu Versuch 15. Digitalelektronik

Dennis S. Weiß & Christian Niederhöfer. Versuchsprotokoll. (Fortgeschrittenen-Praktikum) zu Versuch 15. Digitalelektronik Montag, 31.5.1999 Dennis S. Weiß & Christian Niederhöfer Versuchsprotokoll (Fortgeschrittenen-Praktikum) zu Versuch 15 Digitalelektronik 1 Inhaltsverzeichnis 1 Problemstellung 3 2 nwendungen des de Morgan

Mehr

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik Benutzte uellen Benutzte Bezeichnungen Vorlesungen von r.-ing. Vogelmann, Universität Karlsruhe Vorlesungen von r.-ing. Klos, Universität Karlsruhe Vorlesungen von r.-ing. Crokol, Universität Karlsruhe

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

Technical Note Nr. 101

Technical Note Nr. 101 Seite 1 von 6 DMS und Schleifringübertrager-Schaltungstechnik Über Schleifringübertrager können DMS-Signale in exzellenter Qualität übertragen werden. Hierbei haben sowohl die physikalischen Eigenschaften

Mehr

Konzepte der Informatik

Konzepte der Informatik Konzepte der Informatik Vorkurs Informatik zum WS 2011/2012 26.09. - 30.09.2011 17.10. - 21.10.2011 Dr. Werner Struckmann / Christoph Peltz Stark angelehnt an Kapitel 1 aus "Abenteuer Informatik" von Jens

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜ INFOMATIK TECHNICHE UNIVEITÄT MÜNCHEN Lehrstuhl für echnertechnik und echnerorganisation Prof. Dr. Arndt Bode Einführung in die echnerarchitektur Wintersemester 2015/2016 Zentralübung 10 08.01.2016

Mehr

Eine Logikschaltung zur Addition zweier Zahlen

Eine Logikschaltung zur Addition zweier Zahlen Eine Logikschaltung zur Addition zweier Zahlen Grundlegender Ansatz für die Umsetzung arithmetischer Operationen als elektronische Schaltung ist die Darstellung von Zahlen im Binärsystem. Eine Logikschaltung

Mehr

Grundlagen der Theoretischen Informatik, SoSe 2008

Grundlagen der Theoretischen Informatik, SoSe 2008 1. Aufgabenblatt zur Vorlesung Grundlagen der Theoretischen Informatik, SoSe 2008 (Dr. Frank Hoffmann) Lösung von Manuel Jain und Benjamin Bortfeldt Aufgabe 2 Zustandsdiagramme (6 Punkte, wird korrigiert)

Mehr

Anlegen eines Speicherbereichs mit DB, DW eleganter in Kombination mit EQU, Timer-Interrupt

Anlegen eines Speicherbereichs mit DB, DW eleganter in Kombination mit EQU, Timer-Interrupt Anlegen eines Speicherbereichs mit DB, DW eleganter in Kombination mit EQU, Timer-Interrupt AMPEL-Steuerung(en) Die Beschreibung und Programmierung der Ampel (vor allem Ampel_5) können sehr kompliziert

Mehr

KLAUSUR DIGITALTECHNIK SS 00

KLAUSUR DIGITALTECHNIK SS 00 Aufgabe 1 (20P) KLAUSUR DIGITALTECHNIK SS 00 Entwerfen Sie ein Flipflop unter ausschließlicher Verwendung eines Dreifach-UND und dreier Zweifach-ODER. Beschreiben Sie das Verhalten ( Zustandsdiagramm,

Mehr

1 topologisches Sortieren

1 topologisches Sortieren Wolfgang Hönig / Andreas Ecke WS 09/0 topologisches Sortieren. Überblick. Solange noch Knoten vorhanden: a) Suche Knoten v, zu dem keine Kante führt (Falls nicht vorhanden keine topologische Sortierung

Mehr

Hardware-Pyramide. Teil D3: Vom Transistor zum Ein-Chip-System. Transistor. Größe der Transistoren. 06 / Teil D3 / Seite 01

Hardware-Pyramide. Teil D3: Vom Transistor zum Ein-Chip-System. Transistor. Größe der Transistoren. 06 / Teil D3 / Seite 01 eil 3: Vom ransistor zum Ein-Chip-ystem ransistoren in der igitaltechnik Gatter Flip-Flops RM Ein-Chip-ystem Hardware-Pyramide EV ystem Zentraleinheit, Peripherie komplee Funktionsbaugr. peicherzellen,

Mehr

Asynchrone Schaltungen

Asynchrone Schaltungen Asynchrone Schaltungen Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2013 Asynchrone Schaltungen 1/25 2013/07/18 Asynchrone Schaltungen

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

Kapitel 2. Elementare Schaltwerke. 2.1 RS-Flipflop

Kapitel 2. Elementare Schaltwerke. 2.1 RS-Flipflop Kapitel 2 Elementare Schaltwerke 2.1 RS-Flipflop Unter dem Gesichtspunkt der Stabilität betrachtet, wird der zweistufige analoge Transistorverstärker des Bildes 2.1 dann instabil, wenn die gestrichelt

Mehr

Institut für Informatik. Aufgaben zum Elektronik - Grundlagenpraktikum. 4. Praktikumskomplex - Schaltungen mit digitalen Speicherschaltkreisen

Institut für Informatik. Aufgaben zum Elektronik - Grundlagenpraktikum. 4. Praktikumskomplex - Schaltungen mit digitalen Speicherschaltkreisen UNIVERSITÄT LEIPZIG Institut für Informatik Abt. Technische Informatik Dr. Hans-Joachim Lieske Aufgaben zum Elektronik - Grundlagenpraktikum 4. Praktikumskomplex - Schaltungen mit digitalen Speicherschaltkreisen

Mehr

Computertechnik 1. 4.3 Schaltwerke, Sequentielle Schaltungen. Flip-Flops (FF) 4.3.1 Flip-Flops (FF) Dr. Wolfgang Koch

Computertechnik 1. 4.3 Schaltwerke, Sequentielle Schaltungen. Flip-Flops (FF) 4.3.1 Flip-Flops (FF) Dr. Wolfgang Koch omputertechnik r. Wolfgang Koch 4.3 chwerke, equentielle chungen peicher, egister... : Frühere Eingaben (innere Zustände) spielen eine olle (werden gespeichert) Friedrich chiller University ena epartment

Mehr

Informationsblatt Induktionsbeweis

Informationsblatt Induktionsbeweis Sommer 015 Informationsblatt Induktionsbeweis 31. März 015 Motivation Die vollständige Induktion ist ein wichtiges Beweisverfahren in der Informatik. Sie wird häufig dazu gebraucht, um mathematische Formeln

Mehr

Advoware mit VPN Zugriff lokaler Server / PC auf externe Datenbank

Advoware mit VPN Zugriff lokaler Server / PC auf externe Datenbank Advoware mit VPN Zugriff lokaler Server / PC auf externe Datenbank Die Entscheidung Advoware über VPN direkt auf dem lokalen PC / Netzwerk mit Zugriff auf die Datenbank des zentralen Servers am anderen

Mehr

Q R. reset (R) set (S) unzulässig! Unkontrollierte Rückkopplung von Gatterausgängen auf Gattereingänge führt zu logisch "inkonsistentem" Verhalten!

Q R. reset (R) set (S) unzulässig! Unkontrollierte Rückkopplung von Gatterausgängen auf Gattereingänge führt zu logisch inkonsistentem Verhalten! Schaltwerke Schaltwerke 22 Prof. Dr. Rainer Manthey Informatik II Schaltwerke: Übersicht generelles Problem grösserer Schaltnetze: Länge der Laufzeiten wird relevant Notwendigkeit der Zwischenspeicherung

Mehr

Bedienungsanleitung Anlassteilnehmer (Vereinslisten)

Bedienungsanleitung Anlassteilnehmer (Vereinslisten) Bedienungsanleitung Anlassteilnehmer Dieses Programm ist speziell für Vereine entworfen. Es ist lizenzfrei verwendbar und gratis. Das Programm ist mit Excel 2010 erstellt worden und enthält VBA Programmierungen,

Mehr

Institut für Informatik. Aufgaben zum Seminar Technische Informatik

Institut für Informatik. Aufgaben zum Seminar Technische Informatik UNIVERSITÄT LEIPZIG Institut für Informatik bt. Technische Informatik Dr. Hans-Joachim Lieske ufgaben zum Seminar Technische Informatik ufgabe 2.4.1. - erechnung einer Transistorschaltung mit Emitterwiderstand

Mehr

KLIX OUTLOOK. Getränkeautomaten. Johann C. Bredehorst GmbH & Co. KG Bahnhofstr. 15 32105 Bad Salzuflen

KLIX OUTLOOK. Getränkeautomaten. Johann C. Bredehorst GmbH & Co. KG Bahnhofstr. 15 32105 Bad Salzuflen Tel. +49 (0) 52 22-93 09 90 Fax +49 (0) 52 22-93 09 920 E-Mail info@j-c-breehorst.e KLIX OUTLOOK Getränkeautomaten Tel. Fax E-Mail +49 (0) 52 22-93 09 90 +49 (0) 52 22-93 09 920 info@j-c-breehorst.e Vielfalt

Mehr

Outlook. sysplus.ch outlook - mail-grundlagen Seite 1/8. Mail-Grundlagen. Posteingang

Outlook. sysplus.ch outlook - mail-grundlagen Seite 1/8. Mail-Grundlagen. Posteingang sysplus.ch outlook - mail-grundlagen Seite 1/8 Outlook Mail-Grundlagen Posteingang Es gibt verschiedene Möglichkeiten, um zum Posteingang zu gelangen. Man kann links im Outlook-Fenster auf die Schaltfläche

Mehr

2.5.1 Das Basis-Flipflop

2.5.1 Das Basis-Flipflop 2.5 Die Flipflops 137 2.5.1 Das Basis-Flipflop Basis-Flipflops sind nicht taktgesteuerte FF. ie sollen die Funktionen etzen, Löschen und peichern aufweisen. 1 - etzeing. (et) - Löscheing. (eset) 2 etzen:

Mehr

Hinweise zur Installation von MySQL

Hinweise zur Installation von MySQL Hinweise zur Installation von MySQL Im Folgenden werden einige Hinweise gegeben, die die Einrichtung einer MySQL-Lernumgebung am eigenen Windows-Rechner erleichtern sollen. Der Text ist vor allem für diejenigen

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik b J K Q Q Praktikum igitaltechnik Q Q achelor-studium KoSI Praktikumsunterlagen Versuch GT Grundlagen der kombinatorischen Logik.Praxisnahes Kenne nlernen eines Is. Gegeben sind die PIN-elegungen von 4

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (chaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Simulation LIF5000. Abbildung 1

Simulation LIF5000. Abbildung 1 Simulation LIF5000 Abbildung 1 Zur Simulation von analogen Schaltungen verwende ich Ltspice/SwitcherCAD III. Dieses Programm ist sehr leistungsfähig und wenn man weis wie, dann kann man damit fast alles

Mehr

Vorbereitung zum Versuch

Vorbereitung zum Versuch Vorbereitung zum Versuch Schaltlogik Armin Burgmeier (1347488) Gruppe 15 6. Januar 2008 1 Gatter aus diskreten Bauelementen Es sollen logische Bausteine (Gatter) aus bekannten, elektrischen Bauteilen aufgebaut

Mehr

1. EINLEITUNG 2. GLOBALE GRUPPEN. 2.1. Globale Gruppen anlegen

1. EINLEITUNG 2. GLOBALE GRUPPEN. 2.1. Globale Gruppen anlegen GLOBALE GRUPPEN 1. EINLEITUNG Globale Gruppen sind system- oder kategorieweite Gruppen von Nutzern in einem Moodlesystem. Wenn jede Klasse einer Schule in eine globale Gruppe aufgenommen wird, dann kann

Mehr

SERVICE NEWSLETTER. Einführung in die Mechanik Teil 2: Kinematik (2)

SERVICE NEWSLETTER. Einführung in die Mechanik Teil 2: Kinematik (2) Einührung in ie Mechanik Teil : Kinemaik Ausgabe: 9 / 4 In iesem Teil er Reihe wollen wir anhan eines Zahlenbeispiels en Deomaionsgraienen als zenrale Größe zur Beschreibung er Deormaion in er Kinemaik

Mehr

Anleitung für die Teilnahme an den Platzvergaben "Studio II, Studio IV und Studio VI" im Studiengang Bachelor Architektur SS15

Anleitung für die Teilnahme an den Platzvergaben Studio II, Studio IV und Studio VI im Studiengang Bachelor Architektur SS15 Anleitung für die Teilnahme an den Platzvergaben "Studio II, Studio IV und Studio VI" im Studiengang Bachelor Architektur SS15 1 Bitte melden Sie sich über das Campusmanagementportal campus.studium.kit.edu

Mehr

Grundlagen der Informatik

Grundlagen der Informatik Mag. Christian Gürtler Programmierung Grundlagen der Informatik 2011 Inhaltsverzeichnis I. Allgemeines 3 1. Zahlensysteme 4 1.1. ganze Zahlen...................................... 4 1.1.1. Umrechnungen.................................

Mehr

Hardwarepraktikum WS 1997/98. Versuch 4. Sequentielle Systeme I

Hardwarepraktikum WS 1997/98. Versuch 4. Sequentielle Systeme I Hardwarepraktikum W 997/98 hemnitz, 8.03.09 Hardwarepraktikum W 997/98 Versuch 4 equentielle ysteme I Jan Horbach, 758 hris Hübsch, 7543 Lars Jordan, 7560 eite Hardwarepraktikum W 997/98 hemnitz, 8.03.09

Mehr

Primzahlen und RSA-Verschlüsselung

Primzahlen und RSA-Verschlüsselung Primzahlen und RSA-Verschlüsselung Michael Fütterer und Jonathan Zachhuber 1 Einiges zu Primzahlen Ein paar Definitionen: Wir bezeichnen mit Z die Menge der positiven und negativen ganzen Zahlen, also

Mehr

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale Hochschule Emden / Leer Ausarbeitung Thema: Speicherung digitaler Signale eingereicht von: Jens Fresenborg Inhaltsverzeichnis 1 Speicherung Digitaler Signale 1 2 Asynchrone Speicherelemente 1 2.1 RS-Flip-Flop

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

Labor Grundlagen der Elektrotechnik

Labor Grundlagen der Elektrotechnik Gruppe: S4 Versuch I2-5 Hendrik Schwarz, Edgar Nanninga 19.10.2000 1/ 8 Digitale integrierte Schaltungen 1.0 Aufgaben zur Vorbereitung 1.1 0 0 0 0 1 1 1 0 1 1 1 0 Funktionstabelle 1.2 Inverter SN7404 Pegel

Mehr